汽车导航 汽车导航
Ctrl+D收藏汽车导航
首页 > 汽车资讯 > 正文

加速车规级芯片设计实现,新思科技助力国产芯片上车

作者:

时间:1900/1/1 0:00:00

为了平衡更长的寿命和更高的产量,汽车级芯片的生产设备、工艺步骤和时间原则上在生产线认证六个月到一年后不能改变。因此,确保芯片制造设计的可靠性并推动其通过行业标准验证是降低成本、提高效率的关键路径。2023年2月21日,新思科技受邀出席第二届广汽汽车芯片产业大会并发表演讲,担任全球领先的科技公司Silicon to Software? 作为合作伙伴,新思科技与多家国际顶级芯片供应商有着密切的合作,提供汽车级IP套件、全流程芯片设计EDA工具、软硬件协同虚拟样机技术、软件代码监控等一整套解决方案。Synopsis Technology汽车业务发展总监吴宇以《加速车规芯片的设计与实现,助力国产芯片上车》为主题,分析了黑天鹅事件频发下芯片供应链面临的挑战。她强调,作为全球第一的芯片电子设计自动化解决方案提供商和半导体IP提供商,剧情科技将充分发挥其芯片软硬件技术的融合,产业生态等多重优势伴随着中国芯片公司的成长,帮助国产芯片抓住智能汽车的新机遇。

新思科技汽车业务发展总监吴宇,《疯狂跳舞的黑天鹅》,如何确保车用级芯片供应链的安全?汽车已经从机械转向电动和智能,所需芯片的数量也急剧增加。传统汽车通常使用500到600个芯片,而新能源汽车则使用大量的DC-AC逆变器、变压器、逆变器。。。这些组件需要半导体器件来支持。据报道,2021,每辆汽车所需芯片的平均数量已超过1000个,高端新能源汽车需要约2000个芯片。显然,新能源汽车正在成为汽车芯片行业增长的重要驱动力。英特尔首席执行官帕特·基辛格预测,到2030年,“万物数字化”将推动芯片在新型高端汽车材料清单中的占比超过20%,这一比例将是2019年4%的五倍多。终端需求的快速增长,恰逢全球芯片供应在黑天鹅频发的背景下收紧,导致汽车市场芯片短缺两年。吴宇指出,由于汽车级芯片短缺,汽车制造商Tier1和一些消费级芯片制造商纷纷涌入市场,竞争进一步加剧。当泥沙俱下时,企业需要准确把握市场需求。对于想要加入战斗的玩家来说,第一步是澄清消费者级别和车辆级别之间的区别。与传统的汽车级组件类似,汽车级芯片需要经历包括但不限于“需求管理、安全关键设计、功能故障模拟、审查和报告以及安全认证的第三方评估”的阶段,包括两个重要部分:PPM指示器和温度任务概述定义。这正是对传统汽车零部件的需求,从离散E/E架构发展到域控制架构,最终发展到中央计算平台。汽车所需的芯片将不可避免地面临更复杂的操作条件和更高的性能要求;此外,在“软件定义汽车”的趋势下,软硬件迭代周期需要大幅缩短,传统的“硬件先行、软件后发”方式已无法满足中国市场的需求;

更值得注意的是,为了让汽车成为新的数字终端,信息安全问题一直是产品迭代开发的核心障碍,安全IP和软件管理系统将在其中发挥至关重要的作用。针对上述问题,新思科技可以从三个方面提出解决方案:生态系统支持,软件和硬件虚拟验证以及软件安全。首先,凭借与全球领先芯片公司多年的合作经验,新思科技可以在电子电气架构和车载计算芯片的定义阶段与中国客户进行深入沟通。架构设计完成后,新思科技可以引入EDA和IP产品,帮助客户实现高性能、高可靠性的强大多核异构计算平台。不仅如此,新思科技在汽车半导体领域的丰富经验还可以降低客户产品进入供应链的门槛,使国产芯片能够更快地适应未来电子电气架构的拓扑、级联和通信方式。其次,在传统的车载ECU设计和测试过程中,经常存在“硬件生产时间长,软件测试时间短”的问题。面对日益复杂的软件和中间件,新思科技提供了一种虚拟原型工具,可以在芯片和硬件大规模生产之前通过数字原型进行验证。基于多年的行业经验,新思科技拥有国内外大多数芯片的软件模型,也可以帮助客户提前或同步验证算法和测试软件,提高验证效率,降低成本,缩短开发周期。最后,面对加密保护和信息安全问题,新思科技拥有完整的软件安全和代码质量解决方案。从芯片到软件“:新思科技的整套产品和解决方案概述。除了上述支持外,新思科技实际上提供了从芯片到软件的整套解决方案。就最低级别的芯片制造技术而言,硅工程是芯片生成和电子器件创新的基础hnology可以在低至5nm及以下的成熟和新兴工艺节点上通过生产进行验证,在速度、面积、功耗、可测试性和产量之间实现理想的权衡。在芯片设计过程中,EDA行业在整个集成电路行业中扮演着至关重要的角色。EDA本质上是一个将逻辑需求转化为物理实践的复杂过程。由于板载芯片的日益复杂和内置模块的丰富,对EDA工具的要求自然变得越来越严格。新思科技的EDA工具经过了英飞凌、恩智浦、瑞萨等老牌芯片公司的反复验证,可以帮助国产芯片实现更高的工作效率。除了EDA,芯片IP也非常重要。如果说过去汽车芯片主要基于MCU,IP不是主导因素,那么随着智能化趋势的演变,大型计算AI芯片和高性能通信芯片已经在汽车领域得到了应用。高速接口IP的重要性与日俱增。新思科技的汽车标准IP产品可以帮助企业规避芯片量产过程中的主要风险,并帮助产品尽快通过可靠性测试。吴宇介绍,新思科技多年前就已经推出了汽车级IP产品线,对功能安全性、可靠性和质量体系进行了严格分级。信思科技于1995年进入中国市场,并成立了研发和技术支持团队,深入了解中国汽车市场的需求。在系统设计和软件开发方面,新思科技可以为软硬件协作提供虚拟样机技术,缩短软件迭代周期,最大限度地降低整个SDLC的业务风险。吴宇介绍:“我们可以虚拟化SoC级芯片解决方案,让客户在准备生产之前获得芯片的数字原型。软件可以提前18个月部署和测试,芯片制造完成后,可以使用开发的软件直接移植,从而加快软件开发的整体速度,缩短开发周期。“她进一步指出,这一解决方案已经在欧洲和美国实施了……

任何一年,拥有成熟的技术基础,并与许多一级和OEM制造商进行深入合作,不仅极大地扩展了新思科技的虚拟MCU/SOC模型库,还帮助新思科技帮助开发人员消除片上系统设计过程中的不确定性。综上所述,新思科技的产品链实际上是从底层的硅基工程到顶层的软件实现,不仅可以满足芯片公司的需求,还可以解决车企和Tier 1在芯片自研过程中面临的各种问题。事实上,核心短缺正在推动下游企业不断向供应链上游渗透。传统车企和一级车企不再满足于单纯依赖汽车芯片供应商,而是需要加强在供应链中的影响力。新动力车企也在大力发展软件自研、算法自研、芯片自研等技术,旨在连接智能网联汽车垂直产业链。吴宇指出,自主开发汽车芯片,需要从设计阶段开始,从更系统的角度出发,在架构、开发周期、功耗、集成度等适当程度之间实现最优解决。这也是新思科技能够为客户提供的最大帮助:新思科技可以将产品需求转化为芯片架构、子系统设计、IP设计等方面的具体定义,帮助客户基于标准化、完整的流程开发子系统。此外,汽车级芯片在运行过程中的安全问题也受到了广泛关注。吴宇直言不讳地表示,所有的希望都不能寄托在一个完美的芯片上。为了确保芯片在工作周期内能够承受高温、高压等各种复杂的工作条件,新思科技的芯片生命周期管理平台(SLM)为这一痛点提供了解决方案:通过跟踪芯片设计、制造、测试和最终用户系统部署的全过程,通过对获得的信息进行优化,SLM解决方案实现了芯片的全生命周期和全使用场景覆盖。通过一系列数据关联和根本原因分析,可以加快芯片的“产量学习”过程,从而优化最终产品的产量,从而有助于实现美元的规模化芯片。吴宇总结道,尽管两年的芯片短缺给行业带来了挑战,但这也是国产芯片进入汽车供应链的绝佳机会。新思科技可以结合其在软件和芯片领域的专业能力和生态合作经验,帮助中国企业充分发挥优势,在产品性能、质量和可靠性方面满足整车级要求,帮助国产车内半导体快速上市。

标签:

汽车资讯热门资讯
法拉利将于年中开始交付Purosangue

盖世汽车讯据外媒报道,法拉利预计将在今年年中向客户交付其首款四门车型Purosangue,该车将与兰博基尼Urus等车型形成直接竞争。

1900/1/1 0:00:00
无雷达,传国产特斯拉ModelY改用“纯视觉”方案

3月9日,盖世汽车获悉,工信部最新一批的《道路机动车辆生产企业及产品公告》变更扩展公示显示,四款特斯拉中国产ModelY车型进行了信息变更备案,新版车型改为“无雷达”方案,

1900/1/1 0:00:00
理想L7延期交付,将补偿1万积分

近日,有多位网友在微博上爆料称,其订购的理想L7延期交付。

1900/1/1 0:00:00
新智能停车系统或可解决智慧城市停车难题

盖世汽车讯随着智慧城市的不断发展,驾驶员面临的最重大挑战之一是寻找停车位。随着车辆数量不断增加,停车位不足已成为一个重要问题。

1900/1/1 0:00:00
2023中国汽车论坛将于7月5-7日在上海嘉定召开

2023年3月10日下午,在中国汽车工业协会举办的3月汽车行业信息发布会上,

1900/1/1 0:00:00
电池红利期已过?宁德时代退股志存锂业

天眼查显示,志存锂业集团有限公司近日发生工商变更,宁德时代新能源科技股份有限公司等退出股东行列,同时股东新增青岛星通启悦私募股权投资基金合伙企业、宁波梅山保税港区晨道投资合伙企业。

1900/1/1 0:00:00